In this lab, you will design a sequence detector and two counters. The sequence detector detects the 4-bit sequences 1100 and 0011. The detector has a 1-bit input X; a 1-bit output Y and a 1-bit output Z.

engineering

Description

Project Description

 In this lab, you will design a sequence detector and two counters. The sequence detector detects the 4-bit sequences 1100 and 0011. The detector has a 1-bit input X; a 1-bit output Y and a 1-bit output Z.


  • Y = 1 if 1100 is detected 
  • Z = 1 if 0011 is detected 


A sample input sequence and the corresponding outputs for a Mealy machine design is shown in Table 1. You may also choose to design it using a Moore machine. 

Instruction Files
lab6.pdf
477.1 KB

Related Questions in engineering category


Disclaimer
The ready solutions purchased from Library are already used solutions. Please do not submit them directly as it may lead to plagiarism. Once paid, the solution file download link will be sent to your provided email. Please either use them for learning purpose or re-write them in your own language. In case if you haven't get the email, do let us know via chat support.